パスワードを忘れた? アカウント作成
40005 story
ニュース

Happy Binary Day! 65

ストーリー by hylom
perl -e 'use POSIX;print chr(oct("0b" . strftime("%H%M%m%d", localtime)));' 部門より

本日、11月11日はバイナリデーです。

「バイナリデー」(Binary Day)がどのような日なのか、ご存じない方も多いかと思いますが、日付がバイナリ値(0、1)だけで構成される日は、一年に9日(01月と10月と11月の01日、10日、11日)あり、その中でも特に1が4つ並ぶ「11月11日」をバイナリの日、バイナリデーと呼ぶそうです。

そこで、このバイナリデーを祝うべく、/.Jにもちょっとしたイースターエッグを仕込んでおきました。/.Jのとある場所に、日本のバイナリ/ハッカー界の著名人の方々から頂戴した祝賀コメントを掲載しています。/.J読者皆様、ぜひお探しの上一緒にバイナリデーをお祝いいただけるとうれしいです。

ご協力いただきましたバイナリ界・日本ハッカー界の著名人の方々、どうもありがとうございました。

(追記@11/12 13/15:バイナリデーは終わりましたが、一日限定公開では勿体ないということで、トップページに企画ページへのリンクを用意する予定です。リンクが表示されるまでは、こちら(Binary Day 2008)から企画ページへのアクセスが可能です。

(追記 by sugi@5:26:確認できた範囲では解決しました。もし自分の環境ではまだおかしい! という人がいたら教えてください。)

(追記@0:36:現在、ログインユーザーにしか該当のイースターエッグが表示されない、環境によってはイースターエッグをクリックしても該当ページにジャンプできない、というトラブルが発生しているようです。現在確認中ですので、しばしお待ち下さい。)

また、/.J読者の皆様からの「バイナリデー祝賀コメント/ソースコード/バイナリ」も募集しています。「私に声をかけないとは何事だ!」とお怒りのバイナリアン様がいらっしゃいましたら、ぜひこのストーリーのコメントにて「祝賀コメント/ソースコード/バイナリ 」をご発表ください。

そのほか、本企画についてのご意見なども同じくこのストーリーのコメントでお寄せください。

それでは、Happy Hacking!

この議論は賞味期限が切れたので、アーカイブ化されています。 新たにコメントを付けることはできません。
typodupeerror

アレゲは一日にしてならず -- アレゲ見習い

読み込み中...